From ftm
Jump to: navigation, search
Line 4: Line 4:
 
| brief=Simple delay line
 
| brief=Simple delay line
 
| descr=A classical delayline module to connect multiple taps and grab vectors with different delays
 
| descr=A classical delayline module to connect multiple taps and grab vectors with different delays
| arguments= <sym: name> <num: delay line length in msec>
+
| arguments= <sym: global name> <num: delay line length in msec>
 
| attributes=none
 
| attributes=none
 
| messages=clear ... clear (zero) delay line
 
| messages=clear ... clear (zero) delay line

Revision as of 18:18, 11 December 2006


gbr.dline~ Simple delay line
A classical delayline module to connect multiple taps and grab vectors with different delays
arguments: <sym: global name> <num: delay line length in msec>
attributes: none
messages: clear ... clear (zero) delay line
inlets: 1 ... signal input
outlets: 1 ... signal output (for order-forcing)